High-NA: zo bouwt ASML het grootste kopieerapparaat ter wereld. Wie gaat ‘m kopen?

‘Het formaat is imposant, maar grootte zegt niet zoveel”, verklapt Peter Vanoppen alvast. Hij kan het weten: de Vlaming is bij ASML verantwoordelijk voor de introductie van de High-NA-chipmachine, 150 ton zwaar en met een prijskaartje van ruwweg 400 miljoen euro. Eén verdieping lager, pal onder Vanoppens kantoor in Veldhoven, staat het prototype. Bijna af – hij moet het alleen nog doen.

Chipmachinefabrikant ASML toonde het gevaarte deze week voor het eerst, aan een groep journalisten. In de stofvrije cleanroom, de productieruimte, torent de High-NA-stellage hoog boven de bezoekers uit. Veertien meter lang, vier meter breed en vier meter hoog. Het is een kluwen van titanium en roestvrij staal, gebouwd rondom een vacuümvat vol ultragladde spiegels, een explosieve laser en elektrische karretjes die met silicium schijven heen en weer schieten, sneller accelererend dan een racewagen.

De Amerikaanse chipfabrikant Intel heeft de eerste machines besteld, en als ze straks werken, kunnen deze apparaten chippatronen projecteren met een precisie van een paar atomen. Vanaf 2026 zul je de eerste processors die met High-NA gemaakt zijn tegenkomen in nieuwe telefoons of computers.

Eigenlijk is aan het basisprincipe van ASML’s lithografiemachines weinig veranderd sinds de oprichting van het bedrijf, veertig jaar geleden. Het zijn uit de kluiten gewassen kopieerapparaten die, laag voor laag, een patroon afbeelden op een silicium schijf of wafer. Door de lijnen dichter op elkaar te drukken, passen meer schakelaars op hetzelfde oppervlak en kan je krachtiger chips produceren. Inmiddels zitten op de geavanceerde processors tientallen miljarden transistors.

Nadat ASML in 1984 van start ging, zijn de lithografiesystemen duizend keer fijnmaziger gaan werken, tot op enkele nanometers (miljoenste millimeters) nauwkeurig. Om de natuurkrachten die daarbij vrijkomen te beteugelen, is telkens meer vernuft nodig. Totdat uiteindelijk een apparaat van 150.000 kilogram in de cleanroom staat.

Struikelblok

High-NA is een technisch hoogstandje. Toch verwacht ASML minder gedoe dan met de vorige generatie chipmachines. De technologie borduurt namelijk voort op de bestaande EUV-machine, die patronen projecteert met ‘extreem ultraviolet’ licht. Dat licht heeft een veel kleinere golflengte dan gewone lithografiesystemen – als een fineliner ten opzichte van een dikke viltstift – en het kostte ASML bijna twintig jaar om EUV goed werkend te krijgen. Concurrenten gaven de moed op en daarom heeft ASML (27,6 miljard euro omzet) het monopolie op deze lucratieve techniek. Ook in andere lithografiemachines domineert ASML de markt. Met een beurswaarde van 340 miljard euro is het Nederlandse bedrijf beland in de top-25 van meest waardevolle bedrijven ter wereld.

Het grootste struikelblok bij EUV was de lichtbron: een zware laser vuurt 50.000 keer per seconde op een minuscuul druppeltje tin. Om dat 24 uur per dag en 7 dagen per week in een chipfabriek te laten werken, met voldoende vermogen, waren veel aanpassingen nodig. Inmiddels draaien wereldwijd tweehonderd EUV-machines en zijn de voornaamste fouten eruit.

High-NA leerde van de missers. De nieuwe EUV-smaak is vernoemd naar de numerieke apertuur, de vakterm voor de lensopening. Als je die vergroot – net als bij een fotocamera – kun je nog scherpere beelden maken en transistors dichter op elkaar projecteren. Zo blijft de schaalverkleining in de chipindustrie nog even doorgaan.

Het optische systeem van toeleverancier Zeiss heeft lenzen van meer dan een meter doorsnede – in feite zijn het spiegels die EUV-licht weerkaatsen. Daarvoor moest Zeiss wel een geavanceerd laboratorium in Zuid-Duitsland bouwen, dat bijna een miljard euro kostte. ASML betaalde mee en nam in 2016 een aandeel van 24,9 procent in de Duitse partner. De High-NA-spiegels kosten miljoenen euro’s per stuk en zijn zo glad gepolijst dat de laatste oneffenheden er atoom voor atoom vanaf geschoten worden. Richt je een laser op zo’n spiegel, dan kun je een golfbal op de maan raken, beloven de Duitsers.

Make-or-break

Het had niet veel gescheeld of de High-NA-machine had er niet gestaan. Voor ASML’s EUV-project was het rond 2016 namelijk make or break: de geleverde machines werkten niet goed genoeg en de klanten waren boos. Terwijl EUV dreigde te mislukken, moest het bedrijf toch al beginnen met High-NA, omdat Zeiss veel voorbereidingstijd nodig had om die supergladde spiegels te maken.

Het hing erom of ASML klanten kon vinden voor het wankele project. Technisch directeur Martin van den Brink – hij gaat eind april met pensioen – wist in 2017 Intel te overtuigen om High-NA-machines te bestellen, tijdens een sessie in het Amstel-hotel.

Intel zag op dat moment al zijn achterstand op de Aziatische chipfabrikanten oplopen. Samsung en TSMC kozen eerder voor EUV, toen Intel die stap nog niet aandurfde. Daardoor zijn de Amerikaanse chips minder geavanceerd dan die uit Zuid-Korea of Taiwan. Door nu als eerste voor High-NA te kiezen hoopt Intel in één keer weer op voorsprong komen. Dat is een gewaagde stap, een noodsprong zelfs, en Intel-topman Pat Gelsinger heeft haast. Ook voor zijn bedrijf is dit een make-or-break-moment, wil het bijblijven in de top van de wereldchipmarkt. Het is een monsteropgave, omdat Intel minder ervaring heeft opgedaan met gewone EUV-machines dan de Aziatische concurrentie.

Om tijd te winnen versnelt ASML de oplevering van High-NA door tegelijkertijd én in Veldhoven én bij Intel een machine op te bouwen. Normaal gesproken worden de systemen eerst in Brabant in elkaar gezet, afgesteld, getest en vervolgens verscheept. Dat proces duurt vele maanden. Deze keer gaat het dus anders: terwijl het eerste prototype nog niet eens draait, zijn de onderdelen al in dertien containers en 240 kratten aan Intels proeffabriek in Hillsboro, Oregon geleverd. Als luchtvracht – daar zijn zo’n zeven vliegtuigen voor nodig.

„Parallel werken is wel een uitdaging”, zegt Peter Vanoppen, „maar in overleg met Intel durven we het extra risico wel aan. De High-NA-machine is zo ontworpen dat we de belangrijkste onderdelen los van elkaar kunnen testen zonder dat we het hele apparaat daarvoor in elkaar hoeven te zetten.” Deze aanpak helpt de meest complexe machine ter wereld ietsje minder complex te maken.

ASML is verantwoordelijk voor het volledige ontwerp, maar alle losse modules, zoals de belangrijkste bouwstenen heten, zijn samengesteld uit honderdduizenden verschillende onderdelen van honderden toeleveranciers. Het optische systeem en de laser komen uit Duitsland, de bovenkant wordt gemaakt in ASML’s vestiging in Connecticut, de onderkant komt van het Nederlandse VDL-ETG en de lichtbron is ontwikkeld in San Diego.

Als de parallelle opbouw in Veldhoven en bij Intel goed werkt, denkt Vanoppen, zou het ook een gangbare werkwijze voor volgende High-NA-machines kunnen worden. „Dat besluit nemen we later.”

Een team van zo’n 250 mensen bouwt de High-NA-machines op bij Intel. Tegelijkertijd bereiden fabrikanten zich alvast voor op de technische aanpassingen in hun fabriek. Zo is een andere fotogevoelige lak nodig, die overweg moet kunnen met de lichtval van High-NA. Zulke experimenten voert de industrie gezamenlijk uit bij imec, het Leuvense onderzoeksinstituut waar de hele chipwereld aan prille technologie sleutelt. En ook hier kiest ASML voor tijdwinst. In plaats van de hele machine ook nog eens in België op te bouwen, is er nu een gezamenlijk laboratorium in Veldhoven. Dat scheelt een jaar.

Het sommetje van High-NA

De chipindustrie groeit de komende jaren van 600 miljard dollar naar ruim 1.000 miljard, is de verwachting. Alle grote chipfabrikanten gebruiken ASML-machines, maar of ze hun productielijnen net als Intel snel naar High-NA opwaarderen, is niet zeker.

Jeff Koch, analist van onderzoeksbureau SemiAnalysis, denkt dat Samsung en TSMC huiverig zijn voor de aanpassingen die High-NA vergt. „Uiteindelijk zullen ze overstappen, maar het is nu nog niet aantrekkelijk.”

De nieuwe machine maakt het wat lastiger om structuren van grotere processors, zoals AI-chips, af te beelden. Het belichtingsveld is bij de High-NA-machine namelijk een slag kleiner dan bij gewone EUV-systemen. Dat compromis moest ASML aanvaarden om toch fijnere lijntjes af te blijven drukken. Om de vaart erin te houden, gaan de wafers en het masker met het chippatroon extra snel heen en weer. Maar het nadeel blijft: chipontwerpers moeten aanpassingen doen.

Volgens Peter Vanoppen hebben de klanten van ASML tijd genoeg om te testen voordat High-NA op volle toeren draait: „Chipfabrikanten weten al tien jaar dat dit eraan komt.”

TSMC, dat Apples iPhone-chips produceert, gebruikt een truc om fijnere lijntjes af te drukken met reguliere EUV-systemen. Met meerdere belichtingsslagen worden de transistoren dichter op elkaar geplaatst. Vergelijk het met een boek waarin je de witruimte tussen de regels gebruikt, om er bij de tweede drukgang extra regels precies tussen te zetten.

Nadeel is dat deze multipatterning-techniek tijd kost en meer foute chips oplevert. Er zijn ook geavanceerde chipmachines nodig van andere leveranciers, die de schijven achteraf bewerken. Dat sommetje – de prijs per wafer – maakt een fabrikant als-ie overweegt voor honderden miljoenen de machine aan te schaffen. Om het nog ingewikkelder te maken, zal ASML ook bestaande EUV-systemen een snelheidsupgrade geven, tegen betaling uiteraard.

Chinese chipfabrikanten mogen vanwege exportrestricties geen EUV-machines kopen en zijn dus gedwongen multipatterning toe te passen met gangbare lithografiemachines die met diep ultraviolet licht werken (DUV). Daar kom je een heel eind mee, want Huawei stopt zulke chips in zijn nieuwste telefoons. Inmiddels is voor Chinese fabrikanten echter ook een exportvergunning nodig als die de modernste DUV-lithografiesystemen van ASML willen hebben. Zo hoopt de Amerikaanse overheid te voorkomen dat bijvoorbeeld SMIC op grote schaal complexe chips weet te produceren. Hoe hoger de drempels voor gebruik van westerse lithografie, des te sneller Chinese fabrikanten op chipmachines uit eigen land willen overstappen. Dat zou ASML’s Chinese concurrent SMEE in het zadel kunnen helpen. Nu loopt dit bedrijf nog ver achter, zeker op EUV.

Nieuwe groeispurt

Volgens ASML hebben alle klanten die nu EUV afnemen toegezegd dat ze High-NA-machines gaan kopen. Naast Intel zou het gaan om vijf klanten: TSMC, Samsung, SK Hynix, Micron en het Rapidus-project waarmee Japan zijn chipindustrie nieuw leven wil inblazen. Er is natuurlijk wel een verschil tussen de toezegging en het daadwerkelijke gebruik in een chipfabriek, omdat de fabrikanten nog even de kat uit de boom willen kijken.

Vanaf 2028 verwacht ASML ruimte te hebben om twintig High-NA-machines per jaar te assembleren in hun fabriek in Veldhoven. Om niet in de knel te komen, ging afgelopen jaar alvast de sloopkogel door enkele kantoren op de ASML-campus. Ze moesten het veld ruimen voor High-NA-cleanrooms. Door die ingreep lopen de leveringen nu geen vertraging op.

Vanaf 2025 rekent ASML op een explosieve toename van de vraag naar chipmachines. Voor die groeispurt is extra capaciteit nodig – en dus meer ruimte in Veldhoven. Het techbedrijf kocht daarom de afgelopen tijd woningen en sportcomplexen in de omgeving op.

Omwonenden kijken met gemengde gevoelens naar de expansie. Zij zien van dichtbij dat ASML het hogerop zoekt. De nieuwe cleanrooms zullen, net als de High-NA-machines die ze produceren, een stuk hoger uitvallen – tot maximaal dertig meter. ASML probeert het zicht daarop voor omwonenden te camoufleren met een groenstrook en plaatst de meest in het oog springende gebouwen tegen de snelweg aan. Voor een wereldspeler in een kleine Brabantse gemeente doet het formaat er uiteindelijk toch toe.